Zen (microarchitecture)

AMD Zen

Logo for the Zen microarchitecture
Produced Early 2017[1]
Designed by AMD
Common manufacturer(s)
Instruction set AMD64 (x86-64)
Cores
  • 2 (essential)
  • 2–4 (mainstream)
  • 4-8 (enthusiast)[1][4][5]
  • 8–32 (server)[1]
Created 2012–2016
Transistors 14 nm (FinFET)[2]
Socket(s)
Predecessor Excavator
Successor Zen+[7]
Application Desktop, Laptop, Server, Workstation
Core name(s)
  • Raven Ridge (APUs)
    Summit Ridge (desktop CPUs)
    Zeppelin (server APUs)
    Naples (server CPUs)[8]

Zen is the codename for an upcoming computer processor microarchitecture from AMD, expected for release in early 2017.[1] The first Zen based preview system was demonstrated at E3 2016, and first substantially detailed at an event hosted a block away from the Intel Developer Forum 2016. The first Zen based CPUs codenamed "Summit Ridge" is expected to have availability starting in 2017, with Zen-derived Opteron server processors expected in the second quarter of 2017,[8] and Zen-based APUs expected to follow in the second half of 2017.

Zen is a clean sheet design that differs from the long-standing Bulldozer architecture. Zen-based processors use a 14 nm FinFET process, are reportedly more energy efficient, and have a significantly higher IPC. SMT has been introduced, allowing each core to run 2 threads. The cache system has also been redesigned, making the L1 cache write-back. Additionally, Zen based processors will utilize the AM4 socket, bringing DDR4 support.[9]

Design

According to AMD, the main focus of Zen will be on increasing per-core performance.[10][11][12]

This is the first time in a very long time that we engineers have been given the total freedom to build a processor from scratch and do the best we can do. It is a multi-year project with a really large team. It's like a marathon effort with some sprints in the middle. The team is working very hard, but they can see the finish line. I guarantee that it will deliver a huge improvement in performance and power consumption over the previous generation.
Suzanne Plummer, Zen team leader, on September 19th, 2015.[19]

The Zen architecture will be built on a 14 nanometer FINFET process subcontracted to GlobalFoundries,[20] giving greater efficiency than the 32 nm and 28 nm processes of previous AMD FX CPUs and AMD APUs, respectively.[21] The "Summit Ridge" Zen family of CPUs will use the AM4 socket and feature DDR4 support and a 95 W TDP.[21] While newer roadmaps don't confirm the TDP for desktop products, they suggest a range for low-power mobile products with up to two Zen cores from 5 to 15 W and 15 to 35 W for performance-oriented mobile products with up to four Zen cores.[22]

Each Zen core can decode four instructions per clock cycle and includes a micro-op cache which feeds two schedulers, one each for the integer and floating point segments.[23][24] Each core has two address generation units, four integer units, and four floating point units. Two of the floating point units are adders, two are multipliers. There are also improvements in the branch predictor. The L1 cache size is 64 KiB for instructions and 32 KiB for data. The L2 cache size 512 KiB per core, and the L3 is 1-2 MB per core. L3 caches offer 5x the bandwidth of previous AMD designs.

History and development

AMD began planning the Zen microarchitecture shortly after re-hiring Jim Keller in August 2012.[25]

The team in charge of Zen was led by Keller until he left in September 2015 after a 3-year tenure.[26]

Zen was originally planned for 2017 following the ARM64-based K12 sister core, but on AMD's 2015 Financial Analyst Day it was revealed that K12 was delayed in favor of the Zen design, to allow it to enter the market within the 2016 timeframe,[6] with the release of first Zen-based processors expected for October 2016.[27]

In November 2015 a source inside AMD reported that Zen microprocessors had been tested and "met all expectations" with "no significant bottlenecks found".[2][28]

In December 2015, it was rumored that Samsung may be contracted as a fabricator for AMD's 14 nm FinFET processors, including both Zen and their then upcoming Polaris GPU architecture.[29] This was clarified by AMD's July 2016 announcement that products had been successfully produced on Samsung's 14 nm FinFET process.[30] While AMD stated Samsung would be used "if needed", it was argued this would reduce risk for AMD by decreasing dependence on any one foundry.

Advantages over predecessors

Zen's from-scratch design is notably different from its predecessors, with many different types of changes and enhancements being made across the board in hopes of making Zen more competitive with Intel's architectures, and the software most often built with Intel's processor features in mind.

Manufacturing process

Processors built using Zen will utilize 14 nm FinFET silicon.[31] These processors are being produced at GlobalFoundries,[32] though reports state some Zen processors may also be produced at TSMC.[33] Prior to Zen, AMD's smallest process size was 28 nm, as utilized by their Steamroller and Excavator microarchitectures.[34][35] The immediate competition, Intel's Skylake and Kaby Lake microarchitecture, are also fabricated on 14 nm FinFET;[36] though Intel is planning to begin the release of 10 nm parts in 2017.[37]

For identical designs, these die shrinks would use less current (and power) at the same frequency (or voltage). As CPUs are usually power limited (typically up to ~125W, or ~45W for mobile), smaller transistors allow for either lower power at the same frequency, or higher frequency at the same power.[38]

Performance

One of Zen's major goals is to focus on performance per-core, and it is targeting a 40% improvement in instructions per clock over its predecessor.[39] Excavator, in comparison, offered 4-15% improvement over previous architectures.[40][41] The inclusion of SMT also allows each core to process up to two threads, increasing processing throughput by better utilizing available resources.

AMD has demonstrated an 8-core/16-thread Zen processor outperforming an equally-clocked Intel Broadwell-E processor in a Blender rendering benchmark.[1][8]

Memory

APUs utilizing the Zen architecture will also support High Bandwidth Memory (HBM).[42] Previous APUs from AMD had to rely on traditional shared DDR3 RAM for video memory.

Zen supports DDR4 memory (up to 8 channels).[43] Previous CPUs and APUs from AMD only supported up to DDR3.

Power consumption and heat output

Processors built at the 14 nm node on FinFET silicon should show reduced power consumption and therefore heat over their 28 nm and 32 nm non-FinFET predecessors (for equivalent designs), or be more computationally powerful at equivalent heat output/power consumption.

Zen is also expected to utilize clock gating to further reduce power consumption,[24] reducing the frequency of underutilized portions of the core to save power.

Enhanced security and virtualization support

Zen added the support for AMD's Secure Memory Encryption (SME) and AMD's Secure Encrypted Virtualization (SEV). Secure Memory Encryption is real time memory encryption done per page table entry. This is done utilizing the onboard "Security" Processor (ARM Cortex-A5) at boot time to encrypt each page, allowing any DDR-4 memory (including nonvolatile varieties) to be encrypted. AMD SME also makes the contents of the memory more resistant to memory snooping and cold boot attacks.[44][45]

SME can be used to mark individual pages of memory as encrypted through the page tables. A page of memory that is marked encrypted will be automatically decrypted when read from DRAM and will be automatically encrypted when written to DRAM. The SME feature is identified through a CPUID function and enabled through the SYSCFG MSR. Once enabled, page table entries will determine how the memory is accessed. If a page table entry has the memory encryption mask set, then that memory will be accessed as encrypted memory. The memory encryption mask (as well as other related information) is determined from settings returned through the same CPUID function that identifies the presence of the feature.

[46]

Products

Zen is expected to be utilized in future desktop FX CPUs, future Opteron server processors, and future APUs.[42][47][48]

The first desktop processors without graphics processing unit (codename: "Summit Ridge") was expected start selling at the end of 2016, according to an AMD roadmap; with the first mobile and desktop processors of the AMD Accelerated Processing Unit type (codename: "Raven Ridge") following in 2017.[49] AMD officially delayed Zen until 2017 in August 2016, while demonstrating an 8-core/16-thread at 3 GHz.[8] Final clock speeds and TDPs have not been announced. AMD also demonstrated a dual socket server platform running two Zen processors with 32-core/64-thread each.[1][8]

See also

References

  1. 1 2 3 4 5 6 Anthony, Sebastian (18 August 2016). "AMD says Zen CPU will outperform Intel Broadwell-E, delays release to 2017". Ars Technica. Retrieved 18 August 2016.
  2. 1 2 3 "GlobalFoundries announces 14nm validation with AMD Zen silicon". ExtremeTech.
  3. Juyeop Han. "Samsung Electronics Does Toll Manufacturing for AMD's Next Chip". etnews.
  4. "Details of AMD Zen 16-core x86 APU emerge".
  5. "AMD Zen-based 8-core Desktop CPU Arrives in 2016, on Socket FM3". TechPowerUp.
  6. 1 2 Ryan Smith (6 May 2015). "AMD's 2016-2017 x86 Roadmap: Zen Is In, Skybridge Is Out". AnandTech.
  7. Bo Moore. "AMD's next-gen Zen CPU due in 2016". pcgamer.
  8. 1 2 3 4 5 Kampman, Jeff (18 August 2016). "AMD gives us our first real moment of Zen". Tech Report. Retrieved 18 August 2016.
  9. Brad Chacos (8 January 2016). "AMD Zen-based CPUs and APUs will unify around Socket AM4". PCWorld.
  10. "Weekend tech reading: AMD 'Zen' and their return to high-end CPUs, tracking Windows pirates - TechSpot". techspot.com. Retrieved 2015-05-12.
  11. "AMD: Zen chips headed to desktops, servers in 2016 - The Tech Report - Page 1". techreport.com. Retrieved 2015-05-12.
  12. Anton Shilov (11 September 2014). "AMD: 'Bulldozer' was not a game-changer, but next-gen 'Zen' will be". KitGuru. Retrieved 1 February 2015.
  13. "AMD Zen Confirmed for 2016, Features 40% IPC Improvement Over Excavator".
  14. Mujtaba, Hassan. "AMD Opens The Lid on Zen Architectural Details at Hot Chips – Huge Performance Leap Over Excavator, Massive Throughput on 14nm FinFET Design". WCCFtech. Retrieved 23 August 2016.
  15. Jiménez, Daniel. "Strided Sampling Hashed Perceptron Predictor" (PDF). Texas A&M University.
  16. Williams, Chris. "'Neural network' spotted deep inside Samsung's Galaxy S7 silicon brain". The Register.
  17. Fog, Agner. "The microarchitecture of Intel, AMD and VIA CPUs" (PDF). Technical University of Denmark.
  18. "AMD Starts Linux Enablement On Next-Gen "Zen" Architecture". Phoronix. 17 March 2015. Retrieved 17 March 2015.
  19. Kirk Ladendorf - For the American-Statesman. "Amid challenges, chipmaker AMD sees a way forward".
  20. Lilly, Paul (23 July 2013), "AMD Shipping Zen In Limited Quantity Q4, Volume Rollout Ramps Q1 2017", hothardware.com, Zen is being built on an advanced GlobalFoundries-sourced 14nm FinFET process
  21. 1 2 "14nm AMD Zen CPU Will Have DDR4 and Simultaneous Multithreading". Softpedia. 28 January 2015. Retrieved 31 January 2015.
  22. "AMD's next gen CPU Zen". Shattered.Media. May 2015.
  23. "AMD's Zen core (family 17h) to have ten pipelines per core".
  24. 1 2 Cutress, Ian (18 August 2016). "AMD Zen Microarchitecture". Anandtech. Retrieved 18 August 2016.
  25. Jim Keller On AMD's Next-Gen High Performance x86 Zen Core & K12 ARM Core. YouTube. 7 May 2014.
  26. "Jim Keller Leaves AMD". Anand tech. Retrieved 2015-10-14.
  27. "AMD set to release first 'Zen'-based microprocessors in late 2016 – document". KitGuru.net. 12 June 2015. Retrieved 30 August 2015.
  28. "OC3D :: Article :: AMD Tests Zen CPUs, "Met All Expectation" with no "Significant Bottlenecks" found :: AMD Tests Zen CPUs, Met All Expectation with no Significant Bottlenecks found".
  29. "Samsung to fab AMD Zen & Arctic islands on its 14 nm Finfet node", Tech power up.
  30. Moorhead, Patrick (25 July 2016). "AMD Officially Diversifies 14nm Manufacturing With Samsung". Forbes. Retrieved 26 July 2016.
  31. "AMD's next-gen CPU leak: 14nm, simultaneous multithreading, and DDR4 support". ExtremeTech.
  32. Rulison, Larry (22 August 2016). "Reports: Chip made by GlobalFoundries beats Intel". Times Union. Retrieved 22 August 2016.
  33. Hruska, Joel (7 January 2016). "Confirmed: GlobalFoundries will manufacture AMD's mobile, low-power Polaris GPUs". ExtremeTech. Retrieved 22 August 2016.
  34. "AMD: We have taped out our first FinFET products". KitGuru.
  35. "CES: AMD finally unveils 28nm APU Kaveri to battle Intel Haswell". The Inquirer.
  36. "Intel Kaby Lake to compete against AMD Zen at end of 2016". 2016-03-02. Retrieved 2016-03-07. Intel's Kaby Lake-series processors, which are scheduled to launch in the third quarter, but will not begin volume production until the end of 2016, while AMD is set to release its Zen architecture-based processors at the end of the fourth quarter.
  37. Edward Jones, AMD Zen: A serious challenge to Intel?, retrieved on 27 June, 2106. Channel Pro
  38. "Intel's 'Tick-Tock' Seemingly Dead, Becomes 'Process-Architecture-Optimization'". Anandtech. Retrieved 23 March 2016.
  39. Smith, Ryan (31 May 2016). "AMD Briefly Shows Off Zen "Summit Ridge" Silicon". Retrieved 7 June 2016.
  40. http://wccftech.com/amd-zen-architecture-release-schedule-revealed-rolled-server-market/
  41. Ian Cutress. "IPC Increases: Double L1 Data Cache, Better Branch Prediction - AMD Launches Carrizo: The Laptop Leap of Efficiency and Architecture Updates".
  42. 1 2 "Zen-based APU with HBM to be AMD Carrizo successor".
  43. "AMD's Zen processors to feature up to 32 cores, 8-channel DDR4". TechSpot.
  44. "[RFC PATCH v1 00/18] x86: Secure Memory Encryption (AMD)".
  45. "AMD MEMORY ENCRYPTION WHITEPAPER" (PDF).
  46. "LKML - Tom Lendacky (AMD) explains AMD Secure Memory Encryption".
  47. "AMD Zen FX CPUs, APUs Release Details Surface, Top-Notch Performance In The Cards". Tech Times.
  48. "32-core AMD Opteron to feature quad-die MCM design". KitGuru.
  49. German-language; see AMD roadmap in the middle of the article http://www.pcgameshardware.de/CPU-Hardware-154106/Specials/Roadmap-CPUs-Prozessoren-Liste-AMD-Intel-1130335/
This article is issued from Wikipedia - version of the 11/28/2016. The text is available under the Creative Commons Attribution/Share Alike but additional terms may apply for the media files.