Margaret Martonosi

Margaret Martonosi
Born Boston, Massachusetts, US
Nationality American
Fields Computer Science
Institutions Princeton University
Alma mater Cornell University
Stanford University
Doctoral advisor Anoop Gupta and Thomas E. Anderson
Known for computer architecture and mobile computing
Notable awards ACM Fellow (2009)
IEEE Fellow (2010)
Website
www.princeton.edu/~mrm

Margaret Martonosi is an American computer scientist noted for her research in computer architecture and mobile computing with a particular focus on power-efficiency. In the area of power-aware computer architecture, Martonosi is known for her work on the Wattch power modeling infrastructure.[1][2] Among the first architecture-level power modeling tools, Wattch demonstrated that early-stage power modeling tools could be accurate enough to allow computer architects to assess processor power consumption early enough in the design process for power to have a substantive influence on design choices. Martonosi's group has also performed research on real-system power measurement, and on power and thermal management.[3][4][5][6]

In the area of mobile systems, some of Martonosi's early work included the design and deployment of mobile sensors for tracking zebras in Kenya [7][8] This work demonstrated the use of delay tolerant protocols [9] and low-power GPS devices [10] for wildlife tracking. More recently, Martonosi has researched human mobility patterns [11] and has developed novel mobile applications for crowdsourcing traffic information.[12]

She is also noted for her leadership in broadening participation in computing. She was previously on the CRA-W Board from 2005-2013.

Biography

Margaret Rose Martonosi was born in Boston, Massachusetts. She received a B.S. in Electrical Engineering from Cornell University in 1986. She received a M.S. in Electrical Engineering from Stanford University in 1987 and a Ph.D in Electrical Engineering from Stanford University in 1993.

After a brief post-doc at Stanford, she joined the Department of Electrical Engineering at Princeton University in 1994 as an Assistant Professor. She was promoted to Associate Professor in 2000 and to Professor in 2004. In 2010 she moved to the Computer Science Department at Princeton University.

Awards

In 2009 she was named an ACM Fellow "for contributions in power-aware computing."[13]

In 2010, she was named an IEEE Fellow "for contributions to power-efficient computer architecture and systems design." [14]

In 2015, she was named a Jefferson Science Fellow and served in the Bureau of Economic and Business Affairs at the United States Department of State.[15]

Her other notable awards include:

References

  1. David Brooks. "Wattch Downloads". Retrieved 2013-07-26.
  2. David Brooks; Vivek Tiwari & Margaret Martonosi (2000). "Wattch: a framework for architectural-level power analysis and optimizations". 27th Annual International Symposium on Computer Architecture (ISCA '00).: 83–94.
  3. Canturk Isci, Gilberto Contreras & Margaret Martonos (2006). "Live, Runtime Phase Monitoring and Prediction on Real Systems with Application to Dynamic Power Management". 39th ACM/IEEE International Symposium on Microarchitecture (MICRO-39): 359–370. doi:10.1109/MICRO.2006.30.
  4. James Donald & Margaret Martonosi (2006). "Techniques for Multicore Thermal Management: Classification and New Exploration". 33rd International Symposium on Computer Architecture (ISCA-33). 34 (2): 78–88. doi:10.1145/1150019.1136493.
  5. 1 2 Qiang Wu; V. J. Reddi; Youfeng Wu; Jin Lee; Dan Connors; David Brooks; Margaret Martonosi & Douglas W. Clark (2005). "A Dynamic Compilation Framework for Controlling Microprocessor Energy and Performance". 38th International Symposium on Microarchitecture (MICRO-38): 271–282. doi:10.1109/MICRO.2005.7.
  6. Canturk Isci, Alper Buyuktosunoglu, Chen-Yong Cher, Pradip Bose and Margaret Martonosi (2006). "An Analysis of Efficient Multi-Core Global Power Management Policies: Maximizing Performance for a Given Power Budget". 39th ACM/IEEE International Symposium on Microarchitecture (MICRO-39): 347–358. doi:10.1109/MICRO.2006.8.
  7. Elizabeth Landau. "Endangered zebra life caught on GPS". Retrieved 2013-07-26.
  8. Philo Juang; Hidekazu Oki; Yong Wang; Margaret Martonosi; Li Shiuan Peh & Daniel Rubenstein. (2002). "Energy-efficient computing for wildlife tracking: design tradeoffs and early experiences with ZebraNet". 10th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS X).: 96–107.
  9. Ting Liu; Christopher Sadler; Pei Zhang & Margaret Martonosi (2004). "Implementing Software on Resource-Constrained Mobile Sensors: Experiences with Impala and ZebraNet". Second International Conference on Mobile Systems, Applications, and Services (MobiSys '04): 256–269. doi:10.1145/990064.990095.
  10. Pei Zhang; Christopher Sadler; Stephen Lyon & Margaret Martonosi (2004). "Hardware Design Experiences in ZebraNet". Proceedings of the ACM Conference on Embedded Networked Sensor Systems (SenSys): 227–238. doi:10.1145/1031495.1031522.
  11. R. Becker, R. C-A1ceres, K. Hanson, S. Isaacman, J. M. Loh, M. Martonosi, J. Rowland, S. Urbanek, A. Varshavsky, and C. Volinsky (2013). "Human Mobility Characterization from Cellular Network Data". Communications of the ACM (CACM). 56 (1): 74–82. doi:10.1145/2398356.2398375.
  12. 1 2 Emmanouil Koukoumidis, Li-Shiuan Peh & Margaret Martonosi (2011). "SignalGuru: Leveraging Mobile Phones for Collaborative Traffic Signal Schedule Advisory". 9th International Conference on Mobile Systems, Applications, and Services (MobiSys 2011): 127–140. doi:10.1145/1999995.2000008.
  13. Association for Computing Machinery (2009-12-01). "ACM Names 47 Fellows for Innovations in Computing, Information Technology". Association for Computing Machinery. Retrieved 2013-04-28.
  14. Institute of Electronics and Electrical Engineering (2010). "Fellow Class of 2010". Institute of Electrical and Electronics Engineering. Retrieved 2013-04-28.
  15. "Martonosi Named Jefferson Science Fellow". Princeton University. 2015. Retrieved 2016-07-04.
  16. SAC. "Margaret R. Martonosi Selected to Receive Marie R. Pistilli Women in EDA Achievement Award". dac.org. Retrieved 2015-05-15.
  17. Anita Borg Institute. "2013 ABIE Award Winners". gracehopper.org. Retrieved 2013-10-04.
  18. NCWIT. "NCWIT Undergraduate Research Mentoring Award". NCWIT.org. Retrieved 2013-05-28.
  19. ACM SIGMOBILE (2011). "MobiSys 2011 Best Paper Awards". ACM SIGMOBILE. Retrieved 2013-04-28.
  20. Nick DiUlio (2010-05-20). "Four professors honored for excellence in mentoring graduate students". News at Princeton. Retrieved 2013-04-28.
This article is issued from Wikipedia - version of the 10/28/2016. The text is available under the Creative Commons Attribution/Share Alike but additional terms may apply for the media files.