IA-64

Not to be confused with x86-64, the 64-bit extension to x86 architecture.
Intel Itanium Architecture
Designer HP and Intel
Bits 64-bit
Introduced 2001
Design EPIC
Type Register-Register
Endianness Selectable
Registers
General purpose 128; 64 1-bit predicate registers
Floating point 128
The Intel Itanium architecture

IA-64 (also called Intel Itanium architecture) is the instruction set architecture (ISA) of the Itanium family of 64-bit Intel microprocessors. The basic ISA specification originated at Hewlett-Packard (HP), and was evolved and then implemented in a new processor microarchitecture by Intel with HP's continued partnership and expertise on the underlying EPIC design concepts. In order to establish what was their first new ISA in 20 years and bring an entirely new product line to market, Intel made a massive investment in product definition, design, software development tools, OS, software industry partnerships, and marketing. To support this effort Intel created the largest design team in their history and a new marketing and industry enabling team completely separate from x86. The first Itanium processor, codenamed Merced, was released in 2001.

The Itanium architecture is based on explicit instruction-level parallelism, in which the compiler decides which instructions to execute in parallel. This contrasts with other superscalar architectures, which depend on the processor to manage instruction dependencies at runtime. In all Itanium models, up to and including Tukwila, cores execute up to six instructions per clock cycle.

As of 2008, Itanium was the fourth-most deployed microprocessor architecture for enterprise-class systems, behind x86-64, Power Architecture, and SPARC.[1]

History

Development: 1989–2000

In 1989, HP began to become concerned that reduced instruction set computing (RISC) architectures were approaching a processing limit at one instruction per cycle. Both Intel and HP researchers had been exploring computer architecture options for future designs and separately began investigating a new concept known as very long instruction word (VLIW)[2] which came out of research by Yale University in the early 1980s.[3] VLIW is a computer architecture concept (like RISC and CISC) where a single instruction word contains multiple instructions encoded in one very long instruction word to facilitate the processor to executing multiple instructions in each clock cycle. Typical VLIW implementations rely heavily on sophisticated compilers to determine at compile time which instructions can be executed at the same time and the proper scheduling of these instructions for execution and also to help predict the direction of branch operations. The value of this approach is to do more useful work in fewer clock cycles and to simplify processor instruction scheduling and branch prediction hardware requirements theoretically reducing processor complexity and cost and reducing energy consumption.

During this time, HP had begun to believe that it was no longer cost-effective for individual enterprise systems companies such as itself to develop proprietary microprocessors and Intel had also been researching several architectural options for going beyond the x86 ISA to address high end enterprise server and high performance computing (HPC) requirements. So, Intel and HP partnered in 1994 to develop the IA-64 ISA, using a variation of VLIW design concepts which Intel named explicitly parallel instruction computing (EPIC). Intel's goal was to leverage the expertise HP had developed in their early VLIW work along with their own to develop a volume product line targeted at high-end enterprise class servers and high performance computing (HPC) systems that could be sold to all original equipment manufacturers (OEMs) while HP wished to be able to purchase off-the-shelf processors that built using Intel's volume manufacturing and leading edge process technology that were higher performance and more cost effective than their current PA-RISC processors. Because the resulting products would be Intel's (HP would be one of many customers) and in order to achieve volumes necessary for a successful product line, the Itanium products would be required to meet the needs of the broader customer base and that software applications, OS, and development tools be available for these customers. This required that Itanium products be designed, documented, and manufactured, and have quality and support consistent with the rest of Intel's products. Therefore, Intel took the lead on microarchitecture design, productization (packaging, test, and all other steps), industry software and operating system enabling (Linux and Windows NT), and marketing. As part of Intel's definition and marketing process they engaged a wide variety of enterprise OEM's, software, and OS vendors, as well as end customers in order understand their requirements and ensure they were reflected in the product family so as to meet the needs of a broad range of customers and end-users. HP made a substantial contribution to the ISA definition, the Merced/Itanium microarchitecture, and Itanium 2, but productization responsibility was Intel's. The original goal for delivering the first Itanium family product (codenamed Merced) was 1998.[2]

Intel's product marketing and industry engagement efforts were substantial and achieved design wins with the majority of enterprise server OEM's including those based on RISC processors at the time, industry analysts predicted that IA-64 would dominate in servers, workstations, and high-end desktops, and eventually supplant RISC and complex instruction set computing (CISC) architectures for all general-purpose applications.[4][5] Compaq and Silicon Graphics decided to abandon further development of the Alpha and MIPS architectures respectively in favor of migrating to IA-64.[6]

By 1997, it was apparent that the IA-64 architecture and the compiler were much more difficult to implement than originally thought, and the delivery of Itanium began slipping.[7] Since Itanium was the first ever EPIC processor, the development effort encountered more unanticipated problems than the team was accustomed to. In addition, the EPIC concept depends on compiler capabilities that had never been implemented before, so more research was needed.

Several groups developed operating systems for the architecture, including Microsoft Windows, Linux, and UNIX variants such as HP-UX, Solaris,[8][9][10] Tru64 UNIX,[6] and Monterey/64[11] (the last three were canceled before reaching the market). In 1999, Intel led the formation of an open source industry consortium to port Linux to IA-64 they named "Trillium" (and later renamed "Trillian" due to a trademark issue) which was led by Intel and included Caldera Systems, CERN, Cygnus Solutions, Hewlett-Packard, IBM, Red Hat, SGI, SuSE, TurboLinux and VA Linux Systems. As a result, a working IA-64 Linux was delivered ahead of schedule and was the first OS to run on the new Itanium processors.

Intel announced the official name of the processor, Itanium, on October 4, 1999.[12] Within hours, the name Itanic had been coined on a Usenet newsgroup, a reference to Titanic, the "unsinkable" ocean liner that sank in 1912.[13]

Itanium (Merced): 2001

Itanium (Merced)

Itanium processor
Produced From June 2001 to June 2002
Common manufacturer(s)
  • Intel
Max. CPU clock rate 733 MHz to 800 MHz
FSB speeds 266 MT/s
Instruction set Itanium
Cores 1
L2 cache 96 KB
L3 cache 2 or 4 MB
Socket(s)
  • PAC418
Core name(s)
  • Merced

By the time Itanium was released in June 2001, its performance was not superior to competing RISC and CISC processors.[14]

Recognizing that the lack of software could be a serious problem for the future, Intel made thousands of these early systems available to independent software vendors (ISVs) to stimulate development. HP and Intel brought the next-generation Itanium 2 processor to market a year later.

Itanium 2: 2002–2010

Itanium 2 (McKinley)

Itanium 2 processor
Produced From 2002 to 2010
Designed by Intel
Common manufacturer(s)
  • Intel
Max. CPU clock rate 900 MHz to 2.53 GHz
Instruction set Itanium
Cores 1, 2, 4 or 8
L2 cache 256 KB on Itanium2
256 KB (D) + 1 MB(I) or 512 KB (I) on (Itanium2 9x00 series)
L3 cache 1.5-32 MB
Socket(s)
Core name(s)
  • McKinley
  • Madison
  • Hondo
  • Deerfield
  • Montecito
  • Montvale
  • Tukwila
  • Poulson
Itanium 2 in 2003

The Itanium 2 processor was released in 2002. It relieved many of the performance problems of the original Itanium processor, which were mostly caused by an inefficient memory subsystem.

In 2003, AMD released the Opteron, which implemented its own 64-bit architecture (x86-64). Opteron gained rapid acceptance in the enterprise server space because it provided an easy upgrade from x86. Intel responded by implementing x86-64 in its Xeon microprocessors in 2004.[6]

In November 2005, the major Itanium server manufacturers joined with Intel and a number of software vendors to form the Itanium Solutions Alliance to promote the architecture and accelerate software porting.[15]

In 2006, Intel delivered Montecito (marketed as the Itanium 2 9000 series), a dual-core processor that roughly doubled performance and decreased energy consumption by about 20 percent.[16]

Itanium 9300 (Tukwila): 2010

Main article: Tukwila (processor)

The Itanium 9300 series processor, codenamed Tukwila, was released on 8 February 2010 with greater performance and memory capacity.[17] Tukwila had originally been slated for release in 2007.[18]

The device uses a 65 nm process, includes two to four cores, up to 24 MB on-die caches, Hyper-Threading technology and integrated memory controllers. It implements double-device data correction (DDDC), which helps to fix memory errors. Tukwila also implements Intel QuickPath Interconnect (QPI) to replace the Itanium bus-based architecture. It has a peak interprocessor bandwidth of 96 GB/s and a peak memory bandwidth of 34 GB/s. With QuickPath, the processor has integrated memory controllers and interfaces the memory directly, using QPI interfaces to directly connect to other processors and I/O hubs. QuickPath is also used on Intel processors using the Nehalem microarchitecture, making it probable that Tukwila and Nehalem will be able to use the same chipsets.[19] Tukwila incorporates four memory controllers, each of which supports multiple DDR3 DIMMs via a separate memory controller,[20] much like the Nehalem-based Xeon processor code-named Beckton.[21]

Itanium 9500 (Poulson): 2012

The Itanium 9500 series processor, codenamed Poulson, is the follow-on processor to Tukwila features eight cores, has a 12-wide issue architecture, multithreading enhancements, and new instructions to take advantage of parallelism, especially in virtualization.[19][22][23] The Poulson L3 cache size is 32 MB. L2 cache size is 6 MB, 512 I KB, 256 D KB per core.[24] Die size is 544 mm², less than its predecessor Tukwila (698.75 mm²).[25][26]

At ISSCC 2011, Intel presented a paper called, "A 32nm 3.1 Billion Transistor 12-Wide-Issue Itanium Processor for Mission Critical Servers."[24][27] Given Intel's history of disclosing details about Itanium microprocessors at ISSCC, this paper most likely refers to Poulson. Analyst David Kanter speculates that Poulson will use a new microarchitecture, with a more advanced form of multi-threading that uses as many as two threads, to improve performance for single threaded and multi-threaded workloads.[28] Some new information was released at Hotchips conference.[29][30] New information presents improvements in multithreading, resiliency improvements (Instruction Replay RAS) and few new instructions (thread priority, integer instruction, cache prefetching, data access hints).

Architecture

For AMD64 and Intel64 architecture, see x86-64.

Intel has extensively documented the Itanium instruction set and microarchitecture,[31] and the technical press has provided overviews.[4][7] The architecture has been renamed several times during its history. HP originally called it PA-WideWord. Intel later called it IA-64, then Itanium Processor Architecture (IPA),[32] before settling on Intel Itanium Architecture, but it is still widely referred to as IA-64.

It is a 64-bit register-rich explicitly parallel architecture. The base data word is 64 bits, byte-addressable. The logical address space is 264 bytes. The architecture implements predication, speculation, and branch prediction. It uses a hardware register renaming mechanism rather than simple register windowing for parameter passing. The same mechanism is also used to permit parallel execution of loops. Speculation, prediction, predication, and renaming are under control of the compiler: each instruction word includes extra bits for this. This approach is the distinguishing characteristic of the architecture.

The architecture implements 128 integer registers, 128 floating point registers, 64 one-bit predicates, and eight branch registers. The floating point registers are 82 bits long to preserve precision for intermediate results.

Instruction execution

Each 128-bit instruction word contains three instructions, and the fetch mechanism can read up to two instruction words per clock from the L1 cache into the pipeline. When the compiler can take maximum advantage of this, the processor can execute six instructions per clock cycle. The processor has thirty functional execution units in eleven groups. Each unit can execute a particular subset of the instruction set, and each unit executes at a rate of one instruction per cycle unless execution stalls waiting for data. While not all units in a group execute identical subsets of the instruction set, common instructions can be executed in multiple units.

The execution unit groups include:

The compiler can often group instructions into sets of six that can execute at the same time. Since the floating-point units implement a multiply–accumulate operation, a single floating point instruction can perform the work of two instructions when the application requires a multiply followed by an add: this is very common in scientific processing. When it occurs, the processor can execute four FLOPs per cycle. For example, the 800 MHz Itanium had a theoretical rating of 3.2 GFLOPS and the fastest Itanium 2, at 1.67 GHz, was rated at 6.67 GFLOPS.

Memory architecture

From 2002 to 2006, Itanium 2 processors shared a common cache hierarchy. They had 16 KB of Level 1 instruction cache and 16 KB of Level 1 data cache. The L2 cache was unified (both instruction and data) and is 256 KB. The Level 3 cache was also unified and varied in size from 1.5 MB to 24 MB. The 256 KB L2 cache contains sufficient logic to handle semaphore operations without disturbing the main arithmetic logic unit (ALU).

Main memory is accessed through a bus to an off-chip chipset. The Itanium 2 bus was initially called the McKinley bus, but is now usually referred to as the Itanium bus. The speed of the bus has increased steadily with new processor releases. The bus transfers 2×128 bits per clock cycle, so the 200 MHz McKinley bus transferred 6.4 GB/s, and the 533 MHz Montecito bus transfers 17.056 GB/s[34]

Architectural changes

"Intel VT-i" redirects here. It is not to be confused with Intel VT-x.

Itanium processors released prior to 2006 had hardware support for the IA-32 architecture to permit support for legacy server applications, but performance for IA-32 code was much worse than for native code and also worse than the performance of contemporaneous x86 processors. In 2005, Intel developed the IA-32 Execution Layer (IA-32 EL), a software emulator that provides better performance. With Montecito, Intel therefore eliminated hardware support for IA-32 code.

In 2006, with the release of Montecito, Intel made a number of enhancements to the basic processor architecture including:[35]

Hardware support

Chipsets

The Itanium bus interfaces to the rest of the system via a chipset. Enterprise server manufacturers differentiate their systems by designing and developing chipsets that interface the processor to memory, interconnections, and peripheral controllers. The chipset is the heart of the system-level architecture for each system design. Development of a chipset costs tens of millions of dollars and represents a major commitment to the use of the Itanium. IBM created a chipset in 2003, and Intel in 2002, but neither of them has developed chipsets to support newer technologies such as DDR2 or PCI Express.[36] Currently, modern chipsets for Itanium supporting such technologies are manufactured by HP, Fujitsu, SGI, NEC, and Hitachi.

The "Tukwila" Itanium processor model had been designed to share a common chipset with the Intel Xeon processor EX (Intel's Xeon processor designed for four processor and larger servers). The goal is to streamline system development and reduce costs for server OEMs, many of whom develop both Itanium- and Xeon-based servers. However, in 2013 this goal was pushed back to "evaluated for future implementation opportunities".[37]

Software support

By now, only a few operating systems support it.

HP sells a virtualization technology for Itanium called Integrity Virtual Machines.

To allow more software to run on the Itanium, Intel supported the development of compilers optimized for the platform, especially its own suite of compilers.[38][39] Starting in November 2010, with the introduction of new product suites, the Intel Itanium Compilers were no longer bundled with the Intel x86 compilers in a single product. Intel offers Itanium tools and Intel x86 tools, including compilers, independently in different product bundles. GCC,[40][41] Open64 and Microsoft Visual Studio 2005 (and later)[42] are also able to produce machine code for Itanium. According to the Itanium Solutions Alliance over 13,000 applications were available for Itanium-based systems in early 2008,[43] though Sun has contested Itanium application counts in the past.[44] The ISA also supported Gelato, an Itanium HPC user group and developer community that ported and supported open source software for Itanium.[45]

Emulation

Emulation is a technique that allows a computer to execute binary code that was compiled for a different type of computer. Before IBM's acquisition of QuickTransit in 2009, application binary software for IRIX/MIPS and Solaris/SPARC could run via a type of emulation called "dynamic binary translation" on Linux/Itanium. Similarly, HP implemented a method to execute PA-RISC/HP-UX on the Itanium/HP-UX via emulation, to simplify migration of its PA-RISC customers to the radically different Itanium instruction set. Itanium processors can also run the mainframe environment GCOS from Groupe Bull and several x86 operating systems via Instruction Set Simulators.

Competition

Itanium is aimed at the enterprise server and high-performance computing (HPC) markets. Other enterprise- and HPC-focused processor lines include Oracle Corporation's SPARC T5 and M5, Fujitsu's SPARC64 X and IBM's POWER7. Measured by quantity sold, Itanium's most serious competition comes from x86-64 processors including Intel's own Xeon line and AMD's Opteron line. As of 2009, most servers were being shipped with x86-64 processors.[46]

In 2005, Itanium systems accounted for about 14% of HPC systems revenue, but the percentage has declined as the industry shifts to x86-64 clusters for this application.[47]

An October 2008 paper, by Gartner on the Tukwila processor stated that "...the future roadmap for Itanium looks as strong as that of any RISC peer like Power or SPARC."[48]

Supercomputers and high-performance computing

Area chart showing the representation of different families of microprocessors in the TOP500 ranking list of supercomputer, from 1992 to 2009.

An Itanium-based computer first appeared on the list of the TOP500 supercomputers in November 2001.[49] The best position ever achieved by an Itanium 2 based system in the list was #2 (while now all systems have dropped off the list), achieved in June 2004, when Thunder (LLNL) entered the list with an Rmax of 19.94 Teraflops. In November 2004, Columbia entered the list at #2 with 51.8 Teraflops, and there was at least one Itanium-based computer in the top 10 from then until June 2007. The peak number of Itanium-based machines on the list occurred in the November 2004 list, at 84 systems (16.8%); by June 2012, this had dropped to one system (0.2%),[50] and no Itanium system remained on the list in November 2012.

Processors

Released processors

The Itanium processors show a progression in capability. Merced was a proof of concept. McKinley dramatically improved the memory hierarchy and allowed Itanium to become reasonably competitive. Madison, with the shift to a 130 nm process, allowed for enough cache space to overcome the major performance bottlenecks. Montecito, with a 90 nm process, allowed for a dual-core implementation and a major improvement in performance per watt. Montvale added three new features: core-level lockstep, demand-based switching and front-side bus frequency of up to 667 MHz.

Codename process Released Clock L2 Cache/
core
L3 Cache/
processor
Bus dies/
device
cores/
die
watts/
device
Comments
Itanium
Merced 180 nm 2001-06 733 MHz 96 KB none 266 MHz 1 1 116 2 MB off-die L3 cache
800 MHz 130 4 MB off-die L3 cache
Itanium 2
McKinley 180 nm 2002-07-08 900 MHz 256 KB 1.5 MB 400 MHz 1 1 130 HW branchlong
1 GHz 3 MB 130
Madison 130 nm 2003-06-30 1.3 GHz 3 MB 130
1.4 GHz 4 MB 130
1.5 GHz 6 MB 130
2003-09-08 1.4 GHz 1.5 MB 130
2004-04 1.4 GHz 3 MB 130
1.6 GHz
Deerfield 2003-09-08 1.0 GHz 1.5 MB 62 Low voltage
Hondo[51] 2004-Q1 1.1 GHz 4 MB 400 MHz 2 1 260 32 MB L4
Fanwood 2004-11-08 1.6 GHz 3 MB 533 MHz 1 1 130
1.3 GHz 400 MHz 62? Low voltage
Madison 2004-11-08 1.6 GHz 9 MB 400 MHz 130
2005-07-05 1.67 GHz 6 MB 667 MHz 130
2005-07-18 1.67 GHz 9 MB 667 MHz 130
Itanium 2 9000 series
Montecito 90 nm 2006-07-18 1.4 GHz 256 KB (D)+
1 MB (I)
6–24 MB 400 MHz 1 2 104 Virtualization, Multithread, no HW IA-32
1.6 GHz 533 MHz
Itanium 2 9100 series
Montvale 90 nm 2007-10-31 1.42–1.66 GHz 256 KB (D)+
1 MB (I)
8–24 MB 400–667 MHz 1 1–2 75–104 Core-level lockstep, demand-based switching
Itanium 9300 series
Tukwila 65 nm 2010-02-08 1.33-1.73 GHz 256 KB (D)+
512 KB (I)
10–24 MB QPI with a speed of 4.8 GT/s 1 2–4 130–185 A new point-to-point processor interconnect, the QPI, replacing the FSB. Turbo Boost
Itanium 9500 series
Poulson 32 nm 2012-11-08[52] 1.73-2.53 GHz 256 KB (D)+
512 KB (I)
20-32 MB QPI with a speed of 6.4 GT/s 1 4-8 130–170 Doubled issue width (from 6 to 12 instructions per cycle), Instruction Replay technology, Dual-domain hyperthreading[53][54][55]

Market reception

High-end server market

When first released in 2001, Itanium's performance, compared to better-established RISC and CISC processors, was disappointing.[4][5] Emulation to run existing x86 applications and operating systems was particularly poor, with one benchmark in 2001 reporting that it was equivalent at best to a 100 MHz Pentium in this mode (1.1 GHz Pentiums were on the market at that time).[56] Itanium failed to make significant inroads against IA-32 or RISC, and then suffered from the successful introduction of x86-64 based systems into the high-end server market, systems which were more compatible with the older x86 applications. Journalist John C. Dvorak, commenting in 2009 on the history of the Itanium processor, said "This continues to be one of the great fiascos of the last 50 years" in an article titled "How the Itanium Killed the Computer Industry".[57] Tech columnist Ashlee Vance commented that the delays and underperformance "turned the product into a joke in the chip industry."[58] In an interview, Donald Knuth said "The Itanium approach...was supposed to be so terrific—until it turned out that the wished-for compilers were basically impossible to write."[59]

Both Red Hat and Microsoft announced plans to drop Itanium support in their operating systems due to lack of market interest;[60][61] however, other Linux distributions such as Debian remain available for Itanium. On March 22, 2011, Oracle announced discontinuation of development on Itanium, although its technical support for its existing products would continue.[62] On October 20, 2013, Oracle has officially written about its commitment to release Oracle Database 12.1.0.1.0 on HP-UX Itanium 11.31 by early 2014.[63]

A former Intel official reported that the Itanium business had become profitable for Intel in late 2009.[64] By 2009, the chip was almost entirely deployed on servers made by HP, which had over 95% of the Itanium server market share,[58] making the main operating system for Itanium HP-UX. On March 22, 2011 Intel reaffirmed its commitment to Itanium with multiple generations of chips in development and on schedule.[65]

Other markets

Although Itanium did attain limited success in the niche market of high-end computing, Intel had originally hoped it would find broader acceptance as a replacement for the original x86 architecture.[66]

AMD chose a different direction, designing the less radical x86-64, a 64-bit extension to the existing x86 architecture, which Microsoft then supported, forcing Intel to introduce the same extensions in its own x86-based processors.[67] These designs can run existing 32-bit applications at native hardware speed, while offering support for 64-bit memory addressing and other enhancements to new applications.[58] This architecture has now become the predominant 64-bit architecture in the desktop and portable market. Although some Itanium-based workstations were initially introduced by companies such as SGI, they are no longer available.

See also

References

  1. Morgan, Timothy (2008-05-27). "The Server Biz Enjoys the X64 Upgrade Cycle in Q1". IT Jungle. Retrieved 2008-10-29.
  2. 1 2 "Inventing Itanium: How HP Labs Helped Create the Next-Generation Chip Architecture". HP Labs. June 2001. Retrieved 2007-03-23.
  3. Fisher, Joseph A. (1983). "Very Long Instruction Word architectures and the ELI-512" (PDF). Proceedings of the 10th annual international symposium on Computer architecture. International Symposium on Computer Architecture. New York, NY, USA: Association for Computing Machinery (ACM). pp. 140–150. doi:10.1145/800046.801649. ISBN 0-89791-101-6. Retrieved 2009-04-27.
  4. 1 2 3 De Gelas, Johan (2005-11-09). "Itanium–Is there light at the end of the tunnel?". AnandTech. Retrieved 2007-03-23.
  5. 1 2 Takahashi, Dean (2009-05-08). "Exit interview: Retiring Intel chairman Craig Barrett on the industry's unfinished business". VentureBeat. Retrieved 2009-05-17.
  6. 1 2 3 "Itanium: A cautionary tale". Tech News on ZDNet. 2005-12-07. Archived from the original on 2008-02-09. Retrieved 2007-11-01.
  7. 1 2 Shankland, Stephen (1999-07-08). "Intel's Merced chip may slip further". CNET News. Retrieved 2008-10-16.
  8. Vijayan, Jaikumar (1999-07-16). "ComputerWorld: Solaris for IA-64 coming this fall". Linuxtoday. Retrieved 2008-10-16.
  9. Wolfe, Alexander (1999-09-02). "Core-logic efforts under way for Merced". EE Times. Retrieved February 27, 2016.
  10. "Sun Introduces Solaris Developer Kit for Intel to Speed Development of Applications On Solaris; Award-winning Sun Tools Help ISVs Easily Develop for Solaris on Intel Today". Business Wire. 1998-03-10. Retrieved 2008-10-16.
  11. "Next-generation chip passes key milestone". CNET News.com. 1999-09-17. Retrieved 2007-11-01.
  12. Kanellos, Michael (1999-10-04). "Intel names Merced chip Itanium". CNET News.com. Retrieved 2007-04-30.
  13. Finstad, Kraig (1999-10-04). "Re:Itanium". USENET group comp.sys.mac.advocacy. Retrieved 2013-12-19.
  14. Linley Gwennap (2001-06-04). "Itanium era dawns". EE Times. Retrieved 2009-02-17.
  15. "Itanium Solutions Alliance". ISA web site. Retrieved 2007-05-16.
  16. Niccolai, James (2008-05-20). "'Tukwila' Itanium servers due early next year, Intel says". ComputerWorld. Retrieved 2008-10-16.
  17. New Intel Itanium Offers Greater Performance, Memory Capacity, By: Jeffrey Burt, 2010-02-08, eWeek
  18. Merritt, Rick (2005-03-02). "Intel preps HyperTransport competitor for Xeon, Itanium CPUs". EE Times. Retrieved 2008-10-16.
  19. 1 2 Tan, Aaron (2007-06-15). "Intel updates Itanium line with 'Kittson'". ZDNet Asia. Retrieved 2007-06-15.
  20. Stokes, Jon (2009-02-05). "Intel delays quad Itanium to boost platform memory capacity". ars technica. Retrieved 2009-02-05.
  21. Ng, Jansen (10 February 2009). "Intel Aims for Efficiency With New Server Roadmap". DailyTech. Retrieved 2009-02-10.
  22. "Poulson: The Future of Itanium Servers". realworldtech.com. 2011-05-18. Retrieved 2011-05-24.
  23. "Hot Chips Poulson Disclosure Factsheet" (PDF). Intel press release. 2011-08-19. Retrieved 2011-08-19.
  24. 1 2 "A 32nm 3.1 billion transistor 12-wide-issue Itanium® processor for mission-critical servers" (PDF). 2011-02-24. Retrieved 2012-01-23.
  25. "Researchers carve CPU into plastic foil". Eetimes.com. Retrieved 2010-12-19.
  26. "www.engadget.com". www.engadget.com. Retrieved 2012-04-30.
  27. "ISSCC 2011" (PDF). Retrieved 2011-11-20.
  28. Kanter, David (2010-11-17). "Preparing for Tukwila: The Next Generation of Intel's Itanium Processor Family". Real World Tech. Retrieved 2010-11-17.
  29. "Itanium Poulson Update - Greater Parallelism, New Instruction Replay & More: Catch the details from Hotchips!". 2011-08-19. Retrieved 2012-01-23.
  30. "Intel Itanium Hotchips 2011 Overview". Retrieved 2012-01-23.
  31. "Intel Itanium Processor Manuals". Intel web site. Retrieved 2007-05-16.
  32. "HPWorks Newsletter". September 2001. Retrieved 2008-01-24.
  33. Sharangpani, Harsh; Arora, Ken (2000). "Itanium Processor Microarchitecture". IEEE Micro. pp. 38–39.
  34. Cataldo, Anthony (2001-08-30). "Intel outfits Itanium processor for faster runs". EE Times. Retrieved 2007-12-06.
  35. "Intel product announcement". Intel web site. Archived from the original on November 7, 2007. Retrieved 2007-05-16.
  36. Shankland, Stephen (2005-02-28). "Itanium dealt another blow". ZDNet.co.uk. Retrieved 2007-03-24.
  37. "Intel backtracks on 'Kittson' Itanium plans, including shift to 22 nanometers."
  38. Barker, Matt (2000-11-08). "Intel Announces New Compiler Versions for the Itanium and Pentium 4". Gamasutra (CMP Media Game Group). Archived from the original on 2005-08-19. Retrieved 2007-06-05.
  39. "Intel Compilers". Intel web site. Retrieved 2007-05-16.
  40. "Gelato GCC Wiki". Gelato Federation web site. Retrieved 2007-05-16.
  41. "Documentation at GNU.org". GNU Project web site. Retrieved 2007-05-16.
  42. "Visual C++ Editions". Microsoft. Retrieved 2008-01-05.
  43. Gonsalves, Aantone (2008-05-19). "Computers with Next-Gen Itanium Expected Early Next Year". InformationWeek. Retrieved 2008-10-17.
  44. "Sun Microsystems-Reality Check" (PDF). Sun Microsystems white paper. 2007-01-12. Retrieved 2008-10-16.
  45. "Gelato Developing for Linux on Itanium". Gelato Federation web site. Retrieved 2007-05-16.
  46. Morgan, Timothy Prickett (2010-02-24). "Gartner report card gives high marks to x64, blades". TheRegister.com. Retrieved 2010-02-25.
  47. Novakovic, Nebojsa (2008-09-25). "Supercomputing now dominated by X86 architecture". The Inquirer. Retrieved 2008-09-27.
  48. Butler, Andrew (2008-10-03). "Preparing for Tukwila: The Next Generation of Intel's Itanium Processor Family". Retrieved 2008-10-21.
  49. "Titan Cluster Itanium 800 MHz". TOP500 web site. Retrieved 2007-05-16.
  50. "Processor Generation / Itanium 2 Montecito". TOP500 web site. Retrieved 2012-06-19.
  51. HP rides Hondo to super-sized Itanium servers The Register, 6th May 2004
  52. "New Intel® Itanium® Processor 9500 Delivers Breakthrough Capabilities for Mission-Critical Computing:". Intel. Retrieved 9 November 2012.
  53. Shilov, Anton. "Intel Launches Eight-Core Itanium 9500 "Poulson" Mission-Critical Server Processor - X-bit labs:". X-bit Labs. Retrieved 9 November 2012.
  54. Kanter, David. "Poulson: The Future of Itanium Servers". Real World Tech. Retrieved 9 November 2012.
  55. Undy, Steve. "WHITE PAPER Intel® Itanium® Processor 9500 Series" (PDF). Intel. Retrieved 9 November 2012.
  56. "Benchmarks – Itanic 32bit emulation is 'unusable'. No kidding — slower than a P100". 2001-01-23.
  57. Dvorak, John C. (2009-01-26). "How the Itanium Killed the Computer Industry". PC Mag. Retrieved 2012-04-15.
  58. 1 2 3 Vance, Ashlee (2009-02-09). "Ten Years After First Delay, Intel's Itanium Is Still Late". New York Times. Retrieved 2010-04-01.
  59. Knuth, Donald E. (2008-04-25). "Interview with Donald Knuth". InformIT. Retrieved 2010-04-01.
  60. Reger, Dan (April 2010). "Windows Server 2008 R2 to Phase Out Itanium". Retrieved 2010-04-03.
  61. Timothy Prickett Morgan (2009-12-18). "Red Hat pulls plug on Itanium with RHEL 6". The Register. Retrieved 2009-12-18.
  62. "Oracle stops developing software for Intel's Itanium Chips". Pcworld.com. 2011-03-22. Retrieved 2011-04-12.
  63. Oracle Database 12.1.0.1.0 is planned for certification on HP-UX Itanium 11.31 Oracle 2013-11-20
  64. Demerjian, Charlie (2009-05-21). "A Decade Later, Intel's Itanium Chip Makes a Profit". The Inquirer. Retrieved 2010-04-07.
  65. Darling, Patrick. "Intel Reaffirms Commitment to Itanium". Itanium. Intel. Retrieved 2011-03-23.
  66. Manek Dubash (July 20, 2006). "Will Intel abandon the Itanium?". Techworld. Retrieved 2010-12-19. Once touted by Intel as a replacement for the x86 product line, expectations for Itanium have been throttled well back.
  67. Charlie Demerjian (2003-09-26). "Why Intel's Prescott will use AMD64 extensions". The Inquirer. Retrieved 2009-10-07.
Wikimedia Commons has media related to IA-64.
This article is issued from Wikipedia - version of the 8/30/2016. The text is available under the Creative Commons Attribution/Share Alike but additional terms may apply for the media files.