Back end of line

BEOL (metalization layer) and FEOL (devices).
CMOS fabrication process

The back end of line (BEOL) is the second portion of IC fabrication where the individual devices (transistors, capacitors, resistors, etc.) get interconnected with wiring on the wafer, the metalization layer. Common metals are copper interconnect and aluminum interconnect.[1] BEOL generally begins when the first layer of metal is deposited on the wafer. BEOL includes contacts, insulating layers (dielectrics), metal levels, and bonding sites for chip-to-package connections.

After the last FEOL step, there is a wafer with isolated transistors (without any wires). In BEOL part of fabrication stage contacts (pads), interconnect wires, vias and dielectric structures are formed. For modern IC process, more than 10 metal layers can be added in the BEOL.

The process used to form DRAM capacitors creates a rough and hilly surface, which makes it difficult to add metal interconnect layers and still maintain good yield. In 1998, state-of-the-art DRAM processes had 4 metal layers, while state-of-the-art logic processes had 7 metal layers. [2]

As of 2002, 5 or 6 layers of metal interconnect are common.[3]

As of 2009, typical DRAM devices (1 Gbit) use 3 layers of metal interconnect, tungsten on the first layer and aluminum on the higher layers.[4][5]

As of 2011, many gate arrays are available with a 3-layer interconnect.[6] Many power ICs and analog ICs use a 3-layer interconnect.[7]

The top-most layers of a chip have the thickest and widest and most widely separated metal layers, which make the wires on those layers have the least resistance and smallest RC time delay, so they are used for power distribution and clock distribution. The bottom-most metal layers of the chip, closest to the transistors, have thin, narrow, tightly-packed wires, used only for local interconnect. Adding layers can potentially improve performance, but adding layers also reduces yield and increases manufacturing cost. [8]

Chips with a single metal layer typically use the polysilicon layer to "jump across" when one signal needs to cross another signal—such as the RCA CDP1802[9] and the 4004, giving effectively 2 layers of interconnections.[10]

Many microprocessors were designed with two metal interconnect layers, both of them aluminum, including the 1987 CVAX and the 1989 Rigel.

Many high-performance microprocessors were designed with 3 metal interconnect layers, all of them aluminum. Those included several processors using the CMOS-3 process, including the 1992 Alpha 21064; and processors using the CMOS-6 process, including the 1996 StrongARM.

The AMD Athlon Thunderbird has 6 interconnect layers, the AMD Athlon Palomino has 7 interconnect layers, the AMD Athlon Thoroughbred A has 8 interconnect layers, and the AMD Athlon Thoroughbred B has 9 interconnect layers.[11] The Intel Xeon Dunnington has nine copper interconnect layers.[12]

Steps of the BEOL:

  1. Silicidation of source and drain regions and the polysilicon region.
  2. Adding a dielectric (first, lower layer is Pre-Metal dielectric, PMD - to isolate metal from silicon and polysilicon), CMP processing it
  3. Make holes in PMD, make a contacts in them.
  4. Add metal layer 1
  5. Add a second dielectric (this time it is Intra-Metal dielectric)
  6. Make vias through dielectric to connect lower metal with higher metal. Vias filled by Metal CVD process.
    Repeat steps 4–6 to get all metal layers.
  7. Add final passivation layer to protect the microchip

Before 1998, practically all chips used aluminum for the metal interconnection layers. [13]

The four metals with the highest electrical conductivity are silver with the highest conductivity, then copper, then gold, then aluminum.

After BEOL there is a "back-end process" (also called post-fab), which is done not in the cleanroom, often by a different company. It includes wafer test, wafer backgrinding, die separation, die tests, IC packaging and final test.

See also

References

  1. Karen A. Reinhardt and Werner Kern (2008). Handbook of Silicon Wafer Cleaning Technology (2nd ed.). William Andrew. p. 202. ISBN 978-0-8155-1554-8.
  2. Yong-Bin Kim and Tom W. Chen. "Assessing Merged DRAM/Logic Technology". 1998.
  3. M. Rencz. "Introduction to the IC technology". 2002.
  4. Bruce Jacob, Spencer Ng, David Wang. "Memory systems: cache, DRAM, disk". 2007. Section 8.10.2. "Comparison of DRAM-optimized process versus a logic-optimized process". Page 376.
  5. Young Choi. "Battle commences in 50nm DRAM arena". 2009.
  6. Epson Gate Arrays
  7. Petrov group. "Intersil -- power management strategy". 2010.
  8. Paul DeMone. "The Incredible Shrinking CPU" 2004.
  9. "Inside the RCA CDP1802".
  10. "Oral History of Federico Faggin".
  11. Frank Völkel. "New CPUs, Old Boards: Athlon XP 2800+ Starting From KT333". 2002.
  12. "Copper Interconnect Architecture"

Further reading

This article is issued from Wikipedia - version of the 11/30/2016. The text is available under the Creative Commons Attribution/Share Alike but additional terms may apply for the media files.