Altera

Not to be confused with Altria Group.
Altera Corporation
Subsidiary of Intel
Industry Integrated circuits
Founded 1983
Headquarters San Jose, California, United States
Key people
Dan McNamara (Intel PSG leader)
Products FPGAs, CPLDs, Embedded Processors, ASICs
Revenue US$ 1.783 billion (2013)
US$584.1 million (2013)
US$556.8 million (2013)
Total assets US$4.658 billion (2013)
Total equity US$3.333 billion (2013)
Number of employees
2,884 (December 2011)
Parent Intel
Website www.altera.com

Altera Corporation is an American manufacturer of programmable logic devices (PLDs), reconfigurable complex digital circuits.[1] The company is a wholly-owned subsidiary of Intel. Altera released its first PLD in 1984.[2] Altera's main products are the Stratix, Arria and Cyclone series FPGAs,[1] the MAX series CPLDs,[1] Quartus II design software,[3][4] and Enpirion PowerSoC DC-DC power solutions.

Altera and Intel announced on June 1, 2015 that they have agreed that Intel would acquire Altera in an all-cash transaction valued at approximately $16.7 billion.[5] As of December 28, 2015, the acquisition had been completed.[6][7]

Products

FPGAs

The Stratix series FPGAs are the company's largest, highest bandwidth devices, with up to 1.1 million logic elements, integrated transceivers at up to 28 Gbit/s, up to 1.6 Tbit/s of serial switching capability, up to 1,840 GMACs of signal-processing performance, and up to 7 x72 DDR3 memory interfaces at 800 MHz.[8] Cyclone series FPGAs and SoC FPGAs are the company's lowest cost, lowest power FPGAs, with variants offering integrated transceivers up to 5 Gbit/s. In between these two device families are Arria series FPGAs, which provide a balance of performance, power, and cost for mid-range applications such as remote radio heads, video conferencing equipment, and wireline access equipment. Arria FPGAs have integrated transceivers up to 10 Gbit/s.

SoC FPGAs

Since December 2012, the company has been shipping SoC FPGA devices.[9] According to Altera, fully depleted silicon on insulator (FDSOI) chip manufacturing process is beneficial for FPGAs.[10] These devices integrate FPGAs with full hard processor systems based around ARM processors onto a single device.

PowerSoC

In May 2013, Altera acquired embedded power chipmaker Enpirion for $134m in cash ($141m including the assumption of debt). Since that time, Enpirion has been incorporated into Altera by becoming its own product offering within the Altera portfolio of products. The Enpirion products are power system-on-a-chip DC-DC converters that enable greater power densities and lower noise performance compared with their discrete equivalent. Unlike converters made from discrete components Enpirion dc-dc converters are simulated, characterized, validated and production qualified at delivery.[11]

ASICs

Previously Altera offered a publicly available ASIC design flow based on HardCopy ASICs, which transitioned an FPGA design, once finalized, to a form which is not alterable. This design flow reduced design security risks as well as costs for higher volume production. Design engineers could prototype their designs in Stratix series FPGAs, and then migrate these designs to HardCopy ASICs when they were ready for volume production.

The unique design flow makes hardware/software co-design and co-verification possible. The flow has been benchmarked to deliver systems to market 9 to 12 months faster, on average, than with standard-cell solutions. Design engineers can employ a single RTL, set of intellectual property (IP) cores, and Quartus II design software for both FPGA and ASIC implementations. Altera's HardCopy Design Center manages test insertion.[12]

BaySand provides similar service to Altera's HardCopy called MetalCopy. MetalCopy is based on BaySand's Metal Configurable Standard Cells (MCSC) and BaySand's ASIC design methodology flow. MetalCopy consists of taking the design from RTL to ASIC including Synthesis, Physical Layout, timing closure, test insertion, Build In Test, formal verification and static timing analysis. BaySand currently offers Metal Copy for all FPGA designs including 28nm and 14nm FPGA devices.

IP cores

Altera and its partners offer an array of intellectual property (IP) cores that serve as building blocks that design engineers can drop into their system designs to perform specific functions. IP cores eliminate some of the time-consuming tasks of creating every block in a design from scratch.

Altera offers an embedded portfolio with a broad selection of soft processor cores:

And one hard IP processor core:

Design software

Main article: Altera Quartus

All of Altera's devices are supported by a common design environment, Quartus II design software. Quartus II software is available in a subscription-based edition and a free Web-based edition. It includes a number of tools to foster productivity.

Technology

40-nm technology

In May 2008, Altera introduced the industry's first 40-nm programmable logic devices: the Stratix IV FPGAs and HardCopy IV ASICs.[13] Both devices are available with integrated transceiver options. Since then, the company has also introduced Stratix IV GT FPGAs, which have 11.3 Gbit/s transceivers for 40G/100G applications,[14] and Arria II GX FPGAs, which have 3.75 Gbit/s transceivers for power- and cost-sensitive applications.

Semiconductors manufactured on a 40-nm process node address many of the industry's key challenges, including power consumption, device performance, and cost. Altera's devices are manufactured using techniques such as 193-nm immersion lithography and technologies such as extreme low-k dielectrics and strained silicon. These techniques and technologies bring enhancements to device performance and power efficiency.

28-nm technology

In April 2010, Altera introduced the FPGA industry's second 28-nm device, the Stratix V FPGA (to Xilinx's Kintex-7 FPGA), available with transceivers at speeds up to 28 Gbit/s. This device family has more than 1 million logic elements, up to 53 Mb of embedded memory, up to 7 x72 DDR3 DIMMs at 800 MHz, 1.6 Gbit/s LVDS performance, and up to 3,680 variable-precision DSP blocks. In August 2011, Altera began shipping 28-nm Stratix V GT devices featuring 28-gigabits-per-second transceivers.[15]

The devices also feature some unique features. Embedded HardCopy blocks harden standard or logic-intensive applications, increasing integration and delivering twice the density without a cost or power penalty. Altera has developed a user friendly method for partial reconfiguration, so core functionality can be changed easily and on the fly. And there is a path to HardCopy V ASICs, when designs are ready for volume production. Also, Altera’s 28 nm FPGAs aim to reduce power requirements to 200 mW per channel.[15]

In December 2012, the company announced the shipment of its first 28 nm Cyclone V SoC devices, which have a dual-core ARM Cortex-A9 processor system with FPGA logic on a single chip.[16][17] The new SoCs are targeted for wireless communications, industrial, video surveillance, automotive and medical equipment markets.[16][17] With these SoCs devices, users are able to create custom field-programmable SoC variants for power, board space, performance and cost optimization.[16][17]

14-nm technology

In February 2013, Altera announced an agreement with Intel to use Intel’s foundry services to produce its 14-nm node for the future manufacturing of its FPGAs, based on Intel’s 14 nm tri-gate transistor technology, in place of Altera’s ongoing agreement with Taiwan Semiconductor Manufacturing Corporation (TSMC).[18]

Competition

Altera's largest competitor is FPGA founder and market-share leader Xilinx.[19][20]

The next closest competitors are Lattice Semiconductor and Actel (now Microsemi), each representing less than 10 percent of the market.[19]

FPGA startup company Achronix is also a competitor but does not have any significant market share.

In broader terms, Altera competes with ASIC, structured ASIC, Metal Configurable Standard Cell (MCSC) like BaySand and Zero Mask-Charge ASIC companies like eASIC.

Specifically in ASIC, BaySand has introduced metal configurable FPGA (mcFPGA) products to fill the needs due to discontinued HardCopy from Altera.

Restatement

On June 21, 2006, Altera Corp. restated its 1996-2005 financial results to correct accounting errors related to stock-based compensation expense. Altera's CFO resigned after an SEC investigation revealed the decade of misstated earning reports resulted from the company's alleged culture of backdating stock options.[21]

Acquisition by Intel

On June 1, 2015, Altera and Intel announced that Intel would acquire Altera in an all-cash transaction valued at approximately £15.73 billion ($16.7 billion).[18] As of December 28, 2015, the acquisition has been completed.[5][6][7]

References

  1. 1 2 3 Zacks Equity Research, NASDAQ. "Altera Shipping 28-nm FPGAs." April 13, 2012. Retrieved May 8, 2012.
  2. "Key Companies Shake Up This Year's Top Employers". Electronic Design.
  3. Clive Maxfield, "Altera's Quartus II design software features Qsys System Integration Tool", EETimes, May 9, 2011. Retrieved June 6, 2012.
  4. Clive Maxfield, "Latest and greatest Quartus II design software from Altera", EETimes, November 7, 2011. Retrieved June 6, 2012.
  5. 1 2 "Intel to buy Altera for $16.7B as chipmakers consolidate". CNET. June 1, 2015. Retrieved June 1, 2015.
  6. 1 2 Clark, Don (December 28, 2015). "Intel Completes Acquisition of Altera". The Wall Street Journal. Retrieved December 28, 2015.(subscription required)
  7. 1 2 Burt, Jeffrey (December 28, 2015). "Intel Completes $16.7 Billion Altera Deal". eWeek. Retrieved December 29, 2015.
  8. Graham Pitcher, "Altera set to bring ‘big performance boosts’ to fpga users", New Electronics, June 10, 2013. Retrieved June 25, 2013.
  9. Clive Maxfield, "Altera's shipping its first SoC FPGAs", EE Times, December 12, 2012. Retrieved January 9, 2013.
  10. Peter Clarke, "Altera eyes FDSOI process for FPGAs", EE Times, December 15, 2012. Retrieved January 9, 2013.
  11. "Altera to buy Enpirion for on-chip power conversion". EE Times. May 14, 2013. Retrieved August 29, 2014.
  12. Altera Product Catalog, January 2009
  13. Mark LaPedus, "Analyst comments on Altera's 40-nm FPGAs", EETimes, May 19, 2008. Retrieved January 14, 2013.
  14. "Introducing Stratix IV GT FPGAs: The only FPGAs with Integrated 11.3-Gbps Transceivers". April 21, 2015. Retrieved June 2, 2015.
  15. 1 2 "Altera ships Stratix V GT FPGAs", EETimes, August 24, 2011. Retrieved November 18, 2011.
  16. 1 2 3 Toni McConnel, Embedded. "Altera ships its first Cyclone V SoC devices." December 12, 2012. Retrieved January 3, 2013.
  17. 1 2 3 "Altera, ARM roll out FPGA-adaptive embedded software toolkit", EET Asia, December 21, 2012. Retrieved January 3, 2013.
  18. 1 2 Mark LaPedus, "Intel-Altera deal to shake up foundry landscape", Chip Design Magazine, February 26, 2013. Retrieved June 3, 2013.
  19. 1 2 John Edwards, “No room for Second Place”, EDN, June 1, 2006. Retrieved January 15, 2009.
  20. Jim Turley, "The Future Belongs to Programmers", EE Journal, January 2, 2012. Retrieved January 14, 2013.
  21. "Altera to restate financial results".

External links

This article is issued from Wikipedia - version of the 11/30/2016. The text is available under the Creative Commons Attribution/Share Alike but additional terms may apply for the media files.