45 nanometer

Per the International Technology Roadmap for Semiconductors, the 45 nanometer (45 nm) technology node should refer to the average half-pitch of a memory cell manufactured at around the 2007–2008 time frame.

Matsushita and Intel started mass-producing 45 nm chips in late 2007, and AMD started production of 45 nm chips in late 2008, while IBM, Infineon, Samsung, and Chartered Semiconductor have already completed a common 45 nm process platform. At the end of 2008, SMIC was the first China-based semiconductor company to move to 45 nm, having licensed the bulk 45 nm process from IBM.

Many critical feature sizes are smaller than the wavelength of light used for lithography (i.e., 193 nm and 248 nm). A variety of techniques, such as larger lenses, are used to make sub-wavelength features. Double patterning has also been introduced to assist in shrinking distances between features, especially if dry lithography is used. It is expected that more layers will be patterned with 193 nm wavelength at the 45 nm node. Moving previously loose layers (such as Metal 4 and Metal 5) from 248 nm to 193 nm wavelength is expected to continue, which will likely further drive costs upward, due to difficulties with 193 nm photoresists.

High-k dielectrics

Chipmakers have initially voiced concerns about introducing new high-k materials into the gate stack, for the purpose of reducing leakage current density. As of 2007, however, both IBM and Intel have announced that they have high-k dielectric and metal gate solutions, which Intel considers to be a fundamental change in transistor design.[1] NEC has also put high-k materials into production.

Technology demos

The successors to 45 nm technology are 32 nm, 22 nm, and then 14 nm technologies.

Commercial introduction

Matsushita Electric Industrial Co. started mass production of System-on-a-chip (SoC) for use in digital consumer equipment based on the 45-nm process technology.

Intel shipped its first 45 nanometer based processor, the Xeon 5400-series, in November 2007.

Many details about Penryn appeared at the April 2007 Intel Developer Forum. Its successor is called Nehalem. Important advances[2] include the addition of new instructions (including SSE4, also known as Penryn New Instructions) and new fabrication materials (most significantly a hafnium-based dielectric).

AMD released its Sempron II, Athlon II, Turion II and Phenom II (in generally increasing order of strength), as well as Shanghai Opteron processors using the 45-nm process technology.

The Xbox 360 S, released in 2010, has its Xenon processor in 45 nm process.[3]

The PlayStation 3 Slim model introduced Cell Broadband Engine in 45 nm process.[4]

Example: Intel's 45 nm process

At IEDM 2007, more technical details of Intel's 45 nm process were revealed.[5]

Since immersion lithography is not used here, the lithographic patterning is more difficult. Hence many lines have been lengthened rather than shortened. A more time-consuming double patterning method is used explicitly for this 45 nm process, resulting in potentially higher risk of product delays than before. Also, the use of high-k dielectrics is introduced for the first time, to address gate leakage issues. For the 32 nm node, immersion lithography will begin to be used by Intel.

In a recent Chipworks reverse-engineering,[8] it was disclosed that the trench contacts were formed as a "Metal-0" layer in tungsten serving as a local interconnect. Most trench contacts were short lines oriented parallel to the gates covering diffusion, while gate contacts where even shorter lines oriented perpendicular to the gates.

It was recently revealed[9] that both the Nehalem and Atom microprocessors used SRAM cells containing eight transistors instead of the conventional six, in order to better accommodate voltage scaling. This resulted in an area penalty of over 30%.

Processors using 45 nm technology

References

  1. IEEE Spectrum: The High-k Solution
  2. "Report on Penryn Series Improvements." (PDF). Intel. October 2006.
  3. "New Xbox 360 gets official at $299, shipping today, looks angular and ominous (video hands-on!)". AOL Engadget. 14 June 2010. Archived from the original on 17 June 2010. Retrieved 11 July 2010..
  4. "Sony answears our questions about the new PlayStation 3". Ars Technica. 18 August 2009. Retrieved 19 August 2009..
  5. Mistry, K.; Allen, C.; Auth, C.; Beattie, B.; Bergstrom, D.; Bost, M.; Brazier, M.; Buehler, M.; Cappellani, A.; Chau, R.; Choi, C.-H.; Ding, G.; Fischer, K.; Ghani, T.; Grover, R.; Han, W.; Hanken, D.; Hattendorf, M.; He, J.; Hicks, J.; Huessner, R.; Ingerly, D.; Jain, P.; James, R.; Jong, L.; Joshi, S.; Kenyon, C.; Kuhn, K.; Lee, K.; Liu, H.; Maiz, J.; Mclntyre, B.; Moon, P.; Neirynck, J.; Pae, S.; Parker, C.; Parsons, D.; Prasad, C.; Pipes, L.; Prince, M.; Ranade, P.; Reynolds, T.; Sandford, J.; Shifren, L.; Sebastian, J.; Seiple, J.; Simon, D.; Sivakumar, S.; Smith, P.; Thomas, C.; Troeger, T.; Vandervoorn, P.; Williams, S. & Zawadzki, K. (December 2007). "A 45nm Logic Technology with High-k+Metal Gate Transistors, Strained Silicon, 9 Cu Interconnect Layers, 193nm Dry Patterning, and 100% Pb-free Packaging". doi:10.1109/IEDM.2007.4418914.
  6. http://www.ipfrontline.com/depts/article.asp?id=19560&deptid=5
  7. Intel 45 nm process at IEDM
  8. analysis
  9. 8T SRAM used for Nehalem and Atom

External links

Preceded by
65 nm
CMOS manufacturing processes Succeeded by
32 nm
This article is issued from Wikipedia - version of the 11/18/2016. The text is available under the Creative Commons Attribution/Share Alike but additional terms may apply for the media files.